AsiaIPEX is a one-stop-shop for players in the IP industry, facilitating IP trade and connection to the IP world. Whether you are a patent owner interested in selling your IP, or a manufacturer looking to buy technologies to upgrade your operation, you will find the portal a useful resource.

Continuous flow multithreading architecture (Technion)

Summary
SoE MT (also known as coarse-grained MT and block MT) processors run multiple threads on a pipeline machine, while the pipeline switches threads on stall events (e.g., cache miss). The thread switch penalty is determined by the number of stages in the pipeline that are flushed with in-flight instructions. This results in relatively low performance. SMT also allows for multiple sets of instructions on separate threads to be performed in a pipeline, but is confined to comparatively low numbers of threads due to its complexity.CFMT Architecture is a new construction of SoE MT. In CFMT, a novel memory structure – the Multistate Pipeline Register (MPR) – supports the micro-architectural state of multiple different threads within the execution pipeline stages, where only one thread is active at a given time. The MPRs eliminate the need to flush in-flight instructions and therefore significantly improve performance. This memory technology, referred to as a "memristor", is a nonvolatile technology and stores data as resistance, providing the opportunity to place the MPRs physically within the pipeline stages. In recent years, novel memory technologies such as Resistive RAM (RRAM) and Spin Torque Transfer Magnetoresistive RAM (STT-MRAM) have been developed. All of these technologies can be defined as memristors. Memristors are power efficient, dense, and fast compared to standard memory technologies such as SRAM, DRAM, and Flash.
Technology Benefits
This architecture can use SRAM or other emerging technologies to enhance the performance of the processor
Up to 100% performance improvement as compared to conventional SoE MT processors
The operational mechanism due to the use of memristors runs on lower power and has lower complexity than conventional SoE MT processors
CFMT can have similar performance as SMT while supporting more threads and consuming much less power
Technology Application
This architecture can be applied in any general purpose processors built for portable or embedded systems and servers
ID No.
 COM-1484
Country/Region
Israel

For more information, please click Here
Mobile Device